Request Help - Good example of resolution function

D

Daku

Could some VHDL guru please help me ? Could you please direct me to a
good example of a resolution function for multiple drivers ? I have
tried synthesis on Xilinx ISE 11.1 with Spartan 3 and I am getting
multiple drivers problem. Any hints, suggestions would be of immense
help.
Thank you.
 
T

Tricky

Could some VHDL guru please help me ? Could you please direct me to a
good example of a resolution function for multiple drivers ? I have
tried synthesis on Xilinx ISE 11.1 with Spartan 3 and I am getting
multiple drivers problem. Any hints, suggestions would be of immense
help.
Thank you.

A resolution function will not solve this problem. What it means is
you have 2 signals driving the same register, which wont work without
a tri-state driver (which internally synthesises to a mux). Id re-
asses your needs and think more about the underlying hardware rather
than functions to "solve" your problem.

As for great examples of resolution functions - look in the
ieee.std_logic_1164 package - its full of all the resolution functions
for the std_logic type.
 
D

Dave Pollum

Could some VHDL guru please help me ? Could you please direct me to a
good example of a resolution function for multiple drivers ? I have
tried synthesis on Xilinx ISE 11.1 with Spartan 3 and I am getting
multiple drivers problem. Any hints, suggestions would be of immense
help.
Thank you.

If you have multiple processes driving the same signal, you will get
this error.
-Dave Pollum
 
K

Kenn Heinrich

Daku said:
Could some VHDL guru please help me ? Could you please direct me to a
good example of a resolution function for multiple drivers ? I have
tried synthesis on Xilinx ISE 11.1 with Spartan 3 and I am getting
multiple drivers problem. Any hints, suggestions would be of immense
help.
Thank you.

In *theory* this works - it's a well defined solution in
simulation. The problem is that in *practice* the synthesizers of the
world don't bother to try to implement the behaviour of a resolution
function. They typically just give you an error that multiple drivers
exist on the same signal.

You'll have to restructure your design instead.

- Kenn
 
M

Mike Treseler

Daku said:
Could some VHDL guru please help me ? Could you please direct me to a
good example of a resolution function for multiple drivers ?

The standard types already have resolution functions.
That is not your problem.

-- Mike Treseler
 

Ask a Question

Want to reply to this thread or ask your own question?

You'll need to choose a username for the site, which only take a couple of moments. After that, you can post your question and our members will help you out.

Ask a Question

Members online

Forum statistics

Threads
473,767
Messages
2,569,570
Members
45,045
Latest member
DRCM

Latest Threads

Top