Search arithmetic library

Y

Yannick

Hi,

I need to use the arithmetic library but I don't find it!

I need to use arithmetic.std_logic_arith and I don't want change for
another library.

Where I can find it?


Thks
 
Joined
Mar 10, 2008
Messages
348
Reaction score
0
Hi

It properly depends on which enviroment (ISE) your using but try to include this in your source code.

library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;

Could be this in our case

library arithmetic;
use arithmetic.STD_LOGIC_ARITH.ALL;


Your welcome
 
Last edited:
T

Tricky

Hi,

I need to use the arithmetic library but I don't find it!

I need to use arithmetic.std_logic_arith and I don't want change for
another library.

Where I can find it?

Thks

std_logic_arith is usually in the ieee library.
But dont use that, use ieee.numeric_std instead.
 
Y

Yannick

No, you don't ...

-a

I know that I can use iee library iee but this is not a acceptable
solution.
If you don't know where I can find it, please don't reply!

Nobody know where I can find this library ? (This is the question)

Thanks
 
J

Jacko

Hi,

I need to use the arithmetic library but I don't find it!

I need to use arithmetic.std_logic_arith and I don't want change for
another library.

Where I can find it?

Thks

Useually for standard libraries they will be in the ieee tree. I say
use which ever you want, they are very similar, but some type
conversion issues exist.

library ieee;

use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;

you could use ieee.numeric_std.all as well or instead of this will
slightly change the code needed, i.e. a slight cast changing, but not
much else will differ. The packages differ IIRC in that
std_logic_arith has arithmetic functions which produce
std_logic_vector, where as numeric_std is focused on producing numeric
results, which then can be cast/autocast to std_logic_vector.

There are many opinions, but i have had no concrete rational as to why
one is better than the other.

cheers jacko
 
Y

Yannick

Useually for standard libraries they will be in the ieee tree. I say
use which ever you want, they are very similar, but some type
conversion issues exist.

library ieee;

use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;

you could use ieee.numeric_std.all as well or instead of this will
slightly change the code needed, i.e. a slight cast changing, but not
much else will differ. The packages differ IIRC in that
std_logic_arith has arithmetic functions which produce
std_logic_vector, where as numeric_std is focused on producing numeric
results, which then can be cast/autocast to std_logic_vector.

There are many opinions, but i have had no concrete rational as to why
one is better than the other.

cheers jacko

I try to simulate a old design on ACTEL. For safety and no more step,
I need to use the same
library than the first compilation in 1999.

I know ieee library and I use it for all my design but I can't to use
it here. I haven't any choice, it's the protocol.

Voila

thanks

yannick
 
Y

Yannick

I would suggest you contact Actel Technical Support at (e-mail address removed),
they may be able to make obsolete versions of their design software
available to you,

regards
Alan

I try to contact us!!

Thanks
 

Ask a Question

Want to reply to this thread or ask your own question?

You'll need to choose a username for the site, which only take a couple of moments. After that, you can post your question and our members will help you out.

Ask a Question

Members online

No members online now.

Forum statistics

Threads
473,755
Messages
2,569,536
Members
45,013
Latest member
KatriceSwa

Latest Threads

Top