Hi,
I need to use the arithmetic library but I don't find it!
I need to use arithmetic.std_logic_arith and I don't want change for
another library.
Where I can find it?
Thks
Useually for standard libraries they will be in the ieee tree. I say
use which ever you want, they are very similar, but some type
conversion issues exist.
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
you could use ieee.numeric_std.all as well or instead of this will
slightly change the code needed, i.e. a slight cast changing, but not
much else will differ. The packages differ IIRC in that
std_logic_arith has arithmetic functions which produce
std_logic_vector, where as numeric_std is focused on producing numeric
results, which then can be cast/autocast to std_logic_vector.
There are many opinions, but i have had no concrete rational as to why
one is better than the other.
cheers jacko