Shift register example?

B

bob

Shift register example?
Hi I am looking for a parallel in serial out latching shift register
in VHDL.
I want 16 bits but any example would be appreciated.

Thanks
 
R

Ralf Hildebrandt

bob said:
Shift register example?
Hi I am looking for a parallel in serial out latching shift register
in VHDL.

Sounds like homework.
Present your ideas - or even better: some code - and someone will help you.

Think about how to load the data into the register (synchronously or asynchronously) and
when to shift.

Ralf
 

Ask a Question

Want to reply to this thread or ask your own question?

You'll need to choose a username for the site, which only take a couple of moments. After that, you can post your question and our members will help you out.

Ask a Question

Members online

No members online now.

Forum statistics

Threads
473,744
Messages
2,569,484
Members
44,903
Latest member
orderPeak8CBDGummies

Latest Threads

Top