Signal wont get out of U-state ????

T

Thor Phersen

Im sure this is a stupid question, but I have this signal that just won't
change. I have two components, linked together in a "master" file. The one
component has an output, and the other one has an input, assigned to the
same signal in the "master" design file. This should work fine, right ? I
have other signals in the design defined in the same way, and they work fine
!

I just wonder if any of you have a clue to where I have written something
wrong ? The system compiles OK in Modelsim, and ISE makes a configuration
file whithout any complaint. But it does not work. In Modelsim the signal
appears as U, no matter how many times I assign a value to the signal in the
VHDL-code. By the way, if it is of any importance, the code consists mainly
of one-process state mackines.
 
M

Mike Treseler

Thor said:
I have two components, linked together in a "master" file. The one
component has an output, and the other one has an input, assigned to the
same signal in the "master" design file. This should work fine, right ?

As long as the testbench architecture
that instances the "master" design file
also drives the other inputs.

-- Mike Treseler
 

Ask a Question

Want to reply to this thread or ask your own question?

You'll need to choose a username for the site, which only take a couple of moments. After that, you can post your question and our members will help you out.

Ask a Question

Members online

No members online now.

Forum statistics

Threads
473,755
Messages
2,569,537
Members
45,020
Latest member
GenesisGai

Latest Threads

Top