simulation limit

J

JSreeniv

Hi all,

I have a query regarding to the post route simulation(timing
simulation) using Modelsim, presently i am using 6.4 PE.
In first i am done Functional simulation using VHDL test bench
implementation, and when "End of Test" assertion reached and
simulator will stop from assertion Failure condition; now i got let
say end time of simulation is 10 us.

Now loaded necessary files to run timing simulation; now i want to
know how to decide to give end of simulation time to run; where as
this timing simulation will take account all the gates, paths
etc..delays. so appending on the time from where i got functional
simulation is fine or need to have some analysis? To give end run time
simulation.

Please give some exposure on this issue..
 
Joined
Jan 29, 2009
Messages
152
Reaction score
0
you can add an "assertion" like this to the very end of the test bench, just to get a final message:
Code:
assert false report "end of testbench" severity note;
 
M

Mike Treseler

JSreeniv said:
Now loaded necessary files to run timing simulation; now i want to
know how to decide to give end of simulation time to run; where as
this timing simulation will take account all the gates, paths
etc..delays. so appending on the time from where i got functional
simulation is fine or need to have some analysis? To give end run time
simulation.

If I use the same testbench, the sim time is the same
but the coffee drinking time may be ten times longer.

By the way, a gate sim is a test of your
tools, rules and testbench, not your design.

-- Mike Treseler
 
T

Thomas Stanka

If I use the same testbench, the sim time is the same
but the coffee drinking time may be ten times longer.

By the way, a gate sim is a test of your
tools, rules and testbench, not your design.

You should add your skill in using this tools ;).
Too often happens that simualtion shows an error made in timing
analysis not by tool but by developer.

regards Thomas
 

Ask a Question

Want to reply to this thread or ask your own question?

You'll need to choose a username for the site, which only take a couple of moments. After that, you can post your question and our members will help you out.

Ask a Question

Members online

No members online now.

Forum statistics

Threads
473,744
Messages
2,569,484
Members
44,903
Latest member
orderPeak8CBDGummies

Latest Threads

Top