Simulation Problem

N

Naimesh

I am using XILINX ISE 6.1 and Modelsim for simulation. when I run the
simulation I get follwoing warning.

Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the
result will be 'X'(es).
# Time: 0 ps Iteration: 0 Instance: /testbenchcmedmain/uut/dpll1
# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic
operand, the result will be 'X'(es).
# Time: 0 ps Iteration: 0 Instance: /testbenchcmedmain/uut/dpll1

Now when I see the WAVE window I dont get any X'es in any signal. How
do I find out which signal is creating the problem.

Thanks for any help.

Naimesh
 
T

Tim Hubberstey

Naimesh said:
I am using XILINX ISE 6.1 and Modelsim for simulation. when I run the
simulation I get follwoing warning.

Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the
result will be 'X'(es).
# Time: 0 ps Iteration: 0 Instance: /testbenchcmedmain/uut/dpll1
# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic
operand, the result will be 'X'(es).
# Time: 0 ps Iteration: 0 Instance: /testbenchcmedmain/uut/dpll1

Now when I see the WAVE window I dont get any X'es in any signal. How
do I find out which signal is creating the problem.

It's not a problem. Note that they are warnings and occur at time = 0.
This is typically the result of doing an integer conversion (either
directly or implicitly) on an std_logic_vector or unsigned/signed in
combinational logic.

e.g. n:1 mux
out <= input_vector(to_integer(unsigned(select_vector));

These vectors are 'X' at time=0, hence the warnings. It is possible to
disable them but unless there are a huge number of them, I usually just
ignore them.
 
A

ALuPin

I am using XILINX ISE 6.1 and Modelsim for simulation. when I run the
simulation I get follwoing warning.

Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the
result will be 'X'(es).
# Time: 0 ps Iteration: 0 Instance: /testbenchcmedmain/uut/dpll1
# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic
operand, the result will be 'X'(es).
# Time: 0 ps Iteration: 0 Instance: /testbenchcmedmain/uut/dpll1

Now when I see the WAVE window I dont get any X'es in any signal. How
do I find out which signal is creating the problem.

Thanks for any help.

Naimesh


Are you sure that you displayed all signals?

Are your registered signals resetted so that they start up in a defined
state?
 
N

Naimesh

(e-mail address removed) (ALuPin) wrote in message
I think I displayed all the signals and all are reseted with the RESET signal.

The entity in which I m getting error i.e. Instance: /testbenchcmedmain/uut/dpll1


does have a integer conversion from std_logic_vector. How do I remove it.
 

Ask a Question

Want to reply to this thread or ask your own question?

You'll need to choose a username for the site, which only take a couple of moments. After that, you can post your question and our members will help you out.

Ask a Question

Members online

No members online now.

Forum statistics

Threads
473,754
Messages
2,569,528
Members
45,000
Latest member
MurrayKeync

Latest Threads

Top