Spartan Software

K

Kev

Hi

I have a Spartan FPGA, which I would like to program in VHDL. The trouble
is I can't find any software for it. I own the Xilinx WebPack and the
Xilinx ISE 5.2i, both of which list the FPGA but they only allow EDIF
designs (not VHDL).

Does anyone know where I can get the software?

Thanks.
 
E

Eric Smith

Kev said:
I have a Spartan FPGA, which I would like to program in VHDL. The trouble
is I can't find any software for it. I own the Xilinx WebPack and the
Xilinx ISE 5.2i, both of which list the FPGA but they only allow EDIF
designs (not VHDL).

Does anyone know where I can get the software?

There isn't any free (or low cost) software that can do that. If you
don't want to spend lots of money on software, you should use the
Spartan II, Spartan IIE, or Spartan 3 instead. Buying a new FPGA
board will cost you a lot less than the software you need to use
an old Spartan or Spartan XL FPGA.
 

Ask a Question

Want to reply to this thread or ask your own question?

You'll need to choose a username for the site, which only take a couple of moments. After that, you can post your question and our members will help you out.

Ask a Question

Members online

Forum statistics

Threads
473,767
Messages
2,569,572
Members
45,045
Latest member
DRCM

Latest Threads

Top