std_match function

Joined
Jul 18, 2008
Messages
3
Reaction score
0
Hi group!
I am studing VHDL and I have read in a .ppt presentation that it better to use the std_match function from the numeric_std IEEE library for comparing instead of using ‘=‘ (For example:
if(std_match(bi_value,'0') then
and not
if(bit_vale='0') then
)
because this guarantees that we will get the same results in simulation and
synthesis.
But I don't understand this assertion: it's right and why?
Can someone helps me??? :oops:

Tnx to all
 

Ask a Question

Want to reply to this thread or ask your own question?

You'll need to choose a username for the site, which only take a couple of moments. After that, you can post your question and our members will help you out.

Ask a Question

Members online

No members online now.

Forum statistics

Threads
473,768
Messages
2,569,574
Members
45,048
Latest member
verona

Latest Threads

Top