Strange error in Quartus II 3.0

P

Panic

After searching for the source of an error for quite a long time, I've
decided that I need some help, and once again you guys drew the shortest
straw ;-)

I have a 8 bit DFF with output q[7..0]. This feeds the net
dff_inst23_out[7..0]. (The reason this net is given this name, was to see if
the error actually was located where I thought it was, since the original
error pointed to some temp net.) Both the DFF output and the net is 8 bits
wide, and still I get this error message:

Error: Net dff_inst23_out[6] cannot be assigned more than one value
Error: Net is fed by std_8bit_dff0:inst8|lpm_ff:lpm_ff_component|dffs[6]
Error: Net is fed by std_8bit_dff0:inst9|lpm_ff:lpm_ff_component|dffs[6]
Error: Net is fed by std_8bit_dff0:inst23|lpm_ff:lpm_ff_component|dffs[6]

This is repeated for each bit of dff_inst23_out.

Ok, so I have two other registers that feed this net, but they are not
connected! I understand that this is happening because the output of these
other registers are the same as the inst23 one, but hey, I've got more
registers like that, all over the place! So why is this happening to this
particular net?

I've taken a screenshot of the design in question, and my troublesome net is
the blue stub:
http://www.battlefield.no/bilder/inst23.gif

Any suggestions would be appreaciated!
Sincerely
-"Panic"
 
M

Marc Guardiani

Make sure you don't have another net with the same name. Even if they
are not physically connected, they will be logically connected.
 

Ask a Question

Want to reply to this thread or ask your own question?

You'll need to choose a username for the site, which only take a couple of moments. After that, you can post your question and our members will help you out.

Ask a Question

Members online

No members online now.

Forum statistics

Threads
473,755
Messages
2,569,536
Members
45,012
Latest member
RoxanneDzm

Latest Threads

Top