Syetem time in VHDL?

T

Trickyhead

Is there any way of accessing the system time (not current simulation
time) in VHDL?

an example: when running a test bench, I generate a log file. I dont
want to have to manually move this log file for storage before I run
it again, so I want to put a time stamp in the name of the log file
(so the previous one doesnt get overwritten).

Would the only way to do this be to run the testbench externally (eg.
via TCL) that passes the timestamp (or other meaningful name) in as a
generic?
 
M

Mike Treseler

Is there any way of accessing the system time (not current simulation
time) in VHDL? ....
Would the only way to do this be to run the testbench externally (eg.
via TCL) that passes the timestamp (or other meaningful name) in as a
generic?

Either that or pass the timestamp from
the unix/dos command line.

-- Mike Treseler
 
H

HT-Lab

Is there any way of accessing the system time (not current simulation
time) in VHDL?

an example: when running a test bench, I generate a log file. I dont
want to have to manually move this log file for storage before I run
it again, so I want to put a time stamp in the name of the log file
(so the previous one doesnt get overwritten).

Would the only way to do this be to run the testbench externally (eg.
via TCL) that passes the timestamp (or other meaningful name) in as a
generic?

TCL is indeed the simplest way, most simulators have a full Tcl interpreter
build in so you can just pass on the date as a generic (e.g. in Modelsim
look up the vsim -G command line argument). Alternatively just parse your
source file and modify the filename before running it, this might help you
out http://www.ht-lab.com/freeutils/date2hdl/date2hdl.htm

Hans
www.ht-lab.com
 
A

Ajeetha (www.noveldv.com)

Is there any way of accessing the system time (not current simulation
time) in VHDL?

an example: when running a test bench, I generate a log file. I dont
want to have to manually move this log file for storage before I run
it again, so I want to put a time stamp in the name of the log file
(so the previous one doesnt get overwritten).

Would the only way to do this be to run the testbench externally (eg.
via TCL) that passes the timestamp (or other meaningful name) in as a
generic?

Few years back I had this same issue and found few solutions. I even
wrote a FAQ page, but then my PC crashed and I lost the data. I also
changed my web hoster and lost some data in transition - yeah I'm not
a big time HTML guru. Thanks to archive.org, I found this at:

http://web.archive.org/web/20031220040057/http://www.noveldv.com/verif/hdl_faq/index.html

Specifically, read:

http://web.archive.org/web/20031220040057/http://www.noveldv.com/verif/hdl_faq/FAQ00007.htm

I do intend to put that back on my page when time permits..

Regards
Ajeetha, CVC
www.noveldv.com
 

Ask a Question

Want to reply to this thread or ask your own question?

You'll need to choose a username for the site, which only take a couple of moments. After that, you can post your question and our members will help you out.

Ask a Question

Members online

No members online now.

Forum statistics

Threads
473,744
Messages
2,569,482
Members
44,901
Latest member
Noble71S45

Latest Threads

Top