syntax error? help!

Joined
Apr 2, 2011
Messages
2
Reaction score
0
Hello,

I'm doing some project and as usual I got stuck on the little and the dumbest things...

A : in std_logic_vector(3 downto 0);
B : out std_logic_vector(6 downto 0));
.....
B<=x"OE" when A<"1000" else
x"37"
.....

Now I get 2 errors :
1. ... expected an integer value
2. ... string literal must be terminated


can't understand what's wrong..

thanks,
 
Joined
Jan 29, 2009
Messages
152
Reaction score
0
- You got a capital 'o' instead of a zero in x"OE"
- x"0E" and x"37" are smaller than B
 

Ask a Question

Want to reply to this thread or ask your own question?

You'll need to choose a username for the site, which only take a couple of moments. After that, you can post your question and our members will help you out.

Ask a Question

Members online

Forum statistics

Threads
473,755
Messages
2,569,536
Members
45,012
Latest member
RoxanneDzm

Latest Threads

Top