Syntax help

J

Jonathan Bromley

What is the VHDL syntax to specify rise and fall time of the signal

There is none. Logic signals in VHDL have discrete values and
change instantaneously from one value to another. (Things are
a little more difficult for signals of type REAL, but let's skip
that issue for now.)

You can mimic some of the features of risetime by making
a signal go to 'X' for some time...

process
constant t_rise: time := 1 ns;
constant t_fall: time := 2 ns;
begin
sig <= '0';
wait for 10 ns;
sig <= 'X', '1' after t_rise;
wait for 10 ns;
sig <= 'X', '0' after t_fall;
...

But please NEVER do that with a clock signal!
--
Jonathan Bromley, Consultant

DOULOS - Developing Design Know-how
VHDL * Verilog * SystemC * e * Perl * Tcl/Tk * Project Services

Doulos Ltd., 22 Market Place, Ringwood, BH24 1AW, UK
(e-mail address removed)
http://www.MYCOMPANY.com

The contents of this message may contain personal views which
are not the views of Doulos Ltd., unless specifically stated.
 
A

atul.ee

Jonathan said:
There is none. Logic signals in VHDL have discrete values and
change instantaneously from one value to another. (Things are
a little more difficult for signals of type REAL, but let's skip
that issue for now.)

You can mimic some of the features of risetime by making
a signal go to 'X' for some time...

process
constant t_rise: time := 1 ns;
constant t_fall: time := 2 ns;
begin
sig <= '0';
wait for 10 ns;
sig <= 'X', '1' after t_rise;
wait for 10 ns;
sig <= 'X', '0' after t_fall;
...

But please NEVER do that with a clock signal!
--
Jonathan Bromley, Consultant

DOULOS - Developing Design Know-how
VHDL * Verilog * SystemC * e * Perl * Tcl/Tk * Project Services

Doulos Ltd., 22 Market Place, Ringwood, BH24 1AW, UK
(e-mail address removed)
http://www.MYCOMPANY.com

The contents of this message may contain personal views which
are not the views of Doulos Ltd., unless specifically stated.

Thanks, That was helpfull.
Atul
 

Ask a Question

Want to reply to this thread or ask your own question?

You'll need to choose a username for the site, which only take a couple of moments. After that, you can post your question and our members will help you out.

Ask a Question

Members online

Forum statistics

Threads
473,755
Messages
2,569,536
Members
45,012
Latest member
RoxanneDzm

Latest Threads

Top