Synthesizing a design with RAM.

  • Thread starter Kelvin @ Singapore
  • Start date
K

Kelvin @ Singapore

Hi, all:

What is the right procedure to synthesize a design with a RAM when I don't
have a .db model for the
RAM? I only have the datasheet and .lib file generated from a RAM-Generator
supplied by the fab.

I could use Library Compiler to convert .lib into .db but with a warning
saying "LC is not enabled and
cell functionalities are ignored". Does this .db file include the timing
checks on the IO of the RAM or
just a black box with no functionality and no timing?



Best Regards,
Kelvin
 

Ask a Question

Want to reply to this thread or ask your own question?

You'll need to choose a username for the site, which only take a couple of moments. After that, you can post your question and our members will help you out.

Ask a Question

Members online

Forum statistics

Threads
473,744
Messages
2,569,484
Members
44,904
Latest member
HealthyVisionsCBDPrice

Latest Threads

Top