.....Synthesizing signals

Joined
Dec 12, 2007
Messages
4
Reaction score
0
Hi... 2 questionz...

Que 1...

1) we declare a signal
2) not initialising any value to it ( on reset or any other way)
3) we are processing it in code

will it take default any default value as per the compiler or
will it always be in uninitialised state ( or in unknown state ) ???

Example :-

...
signal x;
...
...
x <= x and p; ( x is not initialised any value and p is '1' )
...
...

How this is synthesised...? and what will be the synthesis o/p ..?



Que 2...

...
signal x :std_logic := '1';
...
how this is synthsized ....?



thanks
knight
 

Ask a Question

Want to reply to this thread or ask your own question?

You'll need to choose a username for the site, which only take a couple of moments. After that, you can post your question and our members will help you out.

Ask a Question

Members online

No members online now.

Forum statistics

Threads
473,744
Messages
2,569,483
Members
44,903
Latest member
orderPeak8CBDGummies

Latest Threads

Top