systemVHDL

N

Nachiket Kapre

is there any effort to extend VHDL into something similar to what's
happening in the Verilog world..i.e. systemVerilog. it would be really
unfortunate if VHDL just got replaced with some Verilog clone without
a fight from the VHDL camp.

nachiket.
 
J

Jim Lewis

Nachiket,
It is called VHDL-200X. You can find more information at:
http://www.eda.org/vhdl-200x

Cheers,
Jim Lewis

is there any effort to extend VHDL into something similar to what's
happening in the Verilog world..i.e. systemVerilog. it would be really
unfortunate if VHDL just got replaced with some Verilog clone without
a fight from the VHDL camp.

nachiket.


--
~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
Jim Lewis
Director of Training mailto:[email protected]
SynthWorks Design Inc. http://www.SynthWorks.com
1-503-590-4787

Expert VHDL Training for Hardware Design and Verification
~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
 
P

Paulo Valentim

It seems like this they haven't had any progress since 4 months ago!
This new standard is so overdue!!!

Anyways what is VHDL 2002?? Where can I find what is exactly new with
this standard??

- Paulo Valentim
 
J

Jim Lewis

Paulo,
Actually, as a working group member, I have seen
significant progress. Proposals have to be made,
analyzed, and then integrated into the standard.
Four months ago, most were just conceptual proposals.
Now most of the proposals have detailed analysis
associated with them and many have been reviewed.

IEEE standards working groups do not get any support
from IEEE or IEEE-SA. 90% of the work done by a
working group is done on a volunteer basis.
While many members come from EDA companies, many others
do not. Some people get supported by their company to
spend time participating and others do it in their
spare time (taking away from their family).

Bottom line, if you want standards to move at a faster
pace, you need to volunteer. You need to earn the right
to complain. If you are not happy with the direction a
standards group goes, you need to participate, otherwise,
again, you have no right to complain. People working
on standards are not claravoint and may not think of the
great idea you have - unless you either share it or
help develop it by participating.

The final step in getting the standard done is to
integrate the proposals into the standard. This is work
that is typically done by one individual (to ensure
continunity). Unfortunately the amount of time it takes
implies that it is not feasibile to do this on a voluntary
basis - as a result, since we are not funded by IEEE,
we also need to raise funds to support this effort.

Best Regards,
Jim Lewis
co-team leader VHDL-200X Fast Track
--
~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
Jim Lewis
Director of Training mailto:[email protected]
SynthWorks Design Inc. http://www.SynthWorks.com
1-503-590-4787

Expert VHDL Training for Hardware Design and Verification
~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
 
P

Paulo Valentim

Thank you for the clarification! Keep up the good work!!!!!!!

- Paulo Valentim
 

Ask a Question

Want to reply to this thread or ask your own question?

You'll need to choose a username for the site, which only take a couple of moments. After that, you can post your question and our members will help you out.

Ask a Question

Members online

No members online now.

Forum statistics

Threads
473,769
Messages
2,569,579
Members
45,053
Latest member
BrodieSola

Latest Threads

Top