tasks in differenet rising edges.

A

Amit

Hello Group,

Would you please tell me how can I implement the following steps in
VDHL code?

1) At one rising edge of clock, check input1
2) On the next edge, assign a value a to output1
3) At the thrid rising edge, a consumer must get data[]

What I don't know is how can I do one task during 1st rising edge, 2nd
task during 2nd rising edge and a 3rd task during the thrid rising
edge of clock.

thanks
amit
 
J

Jonathan Bromley

Hello Group,

Would you please tell me how can I implement the following steps in
VDHL code?

1) At one rising edge of clock, check input1
2) On the next edge, assign a value a to output1
3) At the thrid rising edge, a consumer must get data[]

What I don't know is how can I do one task during 1st rising edge, 2nd
task during 2nd rising edge and a 3rd task during the thrid rising
edge of clock.

STATE MACHINE.

At least, that's if you want to write VHDL that represents a piece
of hardware. It sounds like that's what you want to do.

Oh, and a non-meaningless specification would be good too.
--
Jonathan Bromley, Consultant

DOULOS - Developing Design Know-how
VHDL * Verilog * SystemC * e * Perl * Tcl/Tk * Project Services

Doulos Ltd., 22 Market Place, Ringwood, BH24 1AW, UK
(e-mail address removed)
http://www.MYCOMPANY.com

The contents of this message may contain personal views which
are not the views of Doulos Ltd., unless specifically stated.
 
A

Ahmed Samieh

Hello Group,

Would you please tell me how can I implement the following steps in
VDHL code?

1) At one rising edge of clock, check input1
2) On the next edge, assign a value a to output1
3) At the thrid rising edge, a consumer must get data[]

What I don't know is how can I do one task during 1st rising edge, 2nd
task during 2nd rising edge and a 3rd task during the thrid rising
edge of clock.

thanks
amit

use FSM,

S1 : read input, set S2
S2 : assing a to output, set S3
S3 : blablabla (anything), set S1

Ahmed Samieh
 

Ask a Question

Want to reply to this thread or ask your own question?

You'll need to choose a username for the site, which only take a couple of moments. After that, you can post your question and our members will help you out.

Ask a Question

Members online

No members online now.

Forum statistics

Threads
473,744
Messages
2,569,484
Members
44,904
Latest member
HealthyVisionsCBDPrice

Latest Threads

Top