textio error

P

Pasacco

I am learning using textio library.
I have source code and test bench. Both of them are compiled well.
Test bench looks like below.

-------------------------
....
architecture
....
file AAA : TEXT open READ_MODE is "text.txt";
begin
....
process
begin
...
while NOT (endfile(AAA)) loop -- here occurs error
...
 
R

Ralf Hildebrandt

Pasacco wrote:


Specify the complete path for the file - not only it's name.

e.g.:
file AAA : TEXT open READ_MODE is "/home/userX/folderY/text.txt";


Ralf
 
A

Alan

Ralf Hildebrandt said:
Pasacco wrote:


Specify the complete path for the file - not only it's name.

e.g.:
file AAA : TEXT open READ_MODE is "/home/userX/folderY/text.txt";


Some tools don't like white spaces in the names of files or directory
paths. It is better to always use names like 'my_directory' with an
underscore rather than 'my directory' with a space in the name.
 
Joined
Jan 25, 2015
Messages
1
Reaction score
0
i have q question .help me please.
my vhdl code is :
entity test is
port(
a : in std_logic ;
c : out std_logic
);
end ;

architecture arch of test is
begin
lectura:process
variable rdline : line;
variable a_tmp : std_logic;
file VEC_FILE : text open read_mode is "E:/hdl/test4/VEC_FILE/iput.txt";
begin
while not endfile(VEC_FILE) loop
readline(VEC_FILE, rdline);
read(rdline, a_tmp);
c<=a_tmp;
wait for 1 ns;
end loop;
wait;
end process;
end ;
----------
but it have an error : Cannot find procedure "read" for these actuals.
how do i do?
 

Ask a Question

Want to reply to this thread or ask your own question?

You'll need to choose a username for the site, which only take a couple of moments. After that, you can post your question and our members will help you out.

Ask a Question

Members online

Forum statistics

Threads
473,731
Messages
2,569,432
Members
44,834
Latest member
BuyCannaLabsCBD

Latest Threads

Top