Time delay

A

Aliki

Can anybody tell me how can I make a module within a design, to start
getting its input with a little delay (for instance a few nanoseconds.
I've tried the "after X ns" with no success.
Thank you in advance.
 
A

Allan Herriman

Can anybody tell me how can I make a module within a design, to start
getting its input with a little delay (for instance a few nanoseconds.
I've tried the "after X ns" with no success.
Thank you in advance.

"sig <= <whatever> after X ns;" will give you an inertial delay.

Try "sig <= transport <whatever> after X ns;" instead.


OTOH, you may have your simulator time resolution set too low
(coarse). Time delays less than the resolution get rounded down to
zero.

Regards,
Allan
 
M

Mike Treseler

Aliki said:
Can anybody tell me how can I make a module within a design, to start
getting its input with a little delay (for instance a few nanoseconds.
I've tried the "after X ns" with no success.

Consider using a synchronous design with a clock input.
This allows you to think about logic description
while the place and route worries about adding
up gate delays to meet the fmax spec.

-- Mike Treseler
 

Ask a Question

Want to reply to this thread or ask your own question?

You'll need to choose a username for the site, which only take a couple of moments. After that, you can post your question and our members will help you out.

Ask a Question

Members online

Forum statistics

Threads
473,769
Messages
2,569,579
Members
45,053
Latest member
BrodieSola

Latest Threads

Top