triangle wave generation

Joined
May 22, 2011
Messages
1
Reaction score
0
hi all,
I am a basic user of vhdl, could any one help me to generate triangle wave generation in vhdl and from the triangle applying a low pass filter , where only the fundamental oscillation can pass leads to a sine wave with the normalized angular frequency omega.

the discrete triagle with fo=350hz and fs=8000hz

could you please give a synthasizable code for triangle wave generator,

my submission is very soon,

thanks in advance..
 
Joined
Jan 30, 2009
Messages
42
Reaction score
0
This is obviously a homework or lab assignment. What are your ideas for an approach to the solution? What have you tried so far? If the answers are "None" and "Nothing" then what will you learn if someone else does the work for you? That's easy: "Nothing".

I will give you a hint about how I would approach the problem: Design a binary counter that counts up from zero to its maximum count, then counts down to zero. This cycle repeats continously. If this counter's bits are the input to a digital to analog converter, the converter output will be a triangular wave.

This should give you an idea of how to start.
 

Ask a Question

Want to reply to this thread or ask your own question?

You'll need to choose a username for the site, which only take a couple of moments. After that, you can post your question and our members will help you out.

Ask a Question

Members online

No members online now.

Forum statistics

Threads
473,743
Messages
2,569,478
Members
44,898
Latest member
BlairH7607

Latest Threads

Top