unconstrained array in case..is

X

Xin Xiao

What's the "technical" reason why it is not possible to write

case Signal is
....

....

with "Signal" being an unconstrained array?

Does this mean that you cannot write a generic entry if it is going to be
used in a "case..is" statement?
 
M

Mike Treseler

Xin said:
What's the "technical" reason why it is not possible to write
case Signal is
...
with "Signal" being an unconstrained array?

How can I cover all the cases
without knowing how many there are?
Does this mean that you cannot write a generic entry if it is going to
be used in a "case..is" statement?

No. I could declare and use a subtype that matches the
width of a port or generic. For example:

subtype char_t is std_logic_vector(readData'range);

-- Mike Treseler
 

Ask a Question

Want to reply to this thread or ask your own question?

You'll need to choose a username for the site, which only take a couple of moments. After that, you can post your question and our members will help you out.

Ask a Question

Members online

Forum statistics

Threads
473,755
Messages
2,569,537
Members
45,023
Latest member
websitedesig25

Latest Threads

Top