Unconstrained INPUTS/OUTPUTS compilation error or A Quartus BUG

T

talgry

Hello,
here's my problem:

I wrote an entity in my design which has unconstrained INPUTS/OUTPUTS.
When I try to compile is with the Quartus, it fails with an error:
the entity contains unconstrained INPUT/OUTPUT.
Why does it happen, when it's clear from the instansiation of the
entity what are the lengths of the INPUTS/OUTPUTS vectors ?

I can solve the problem by defining the length as GENERIC, but I
prefer a more elegant way to solve this, since it looks to me like a
bug in Quartus.

Thanks
Tal
 
M

mike_treseler

You need two entities, one unconstrained and a top
entity to instance the unconstrained and define
the vector lengths.

Even if you go to this trouble, Quartus doesn't support
unconstrained entity ports in any case.

Your choices are either:
1. Use leonardo or synplicity for synthesis.
2. Use a generically fixed vector length.

-- Mike Treseler
 

Ask a Question

Want to reply to this thread or ask your own question?

You'll need to choose a username for the site, which only take a couple of moments. After that, you can post your question and our members will help you out.

Ask a Question

Members online

No members online now.

Forum statistics

Threads
473,764
Messages
2,569,564
Members
45,040
Latest member
papereejit

Latest Threads

Top