Unexpected LE

Joined
May 13, 2011
Messages
1
Reaction score
0
i have to do an alu control unit ina small Mips processor , when writing it\s code ,appears this error :
<<line 42. parse error, unexpected LE>>
please help me to find a solution for this error :)
thanks in advanse :)


library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;

entity Alu_Control is
Port ( AluOp : in STD_LOGIC_VECTOR (1 downto 0);
Funct : in STD_LOGIC_VECTOR (5 downto 0);
Control_Lines : in STD_LOGIC_VECTOR (3 downto 0));
end Alu_Control;

architecture Behavioral of Alu_Control is

begin
Control_Lines <="0000" when (AluOp="10" and Funct="100100") else
<="0001" when (AluOp="10" and Funct="100101") else ---- this is the line that cause an error
<="0110" when (AluOp="01" or (AluOp="10" and Funct="100010")) else
<="0010" when (AluOp="00" or (AluOp="10" and Funct="100000")) else
<="0111" when (AluOp="10" and Funct="101010") else
"ZZZZ";
end Behavioral;
 
Joined
Jan 29, 2009
Messages
152
Reaction score
0
I think this is what you meant,

Code:
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;

entity Alu_Control is
Port ( AluOp : in STD_LOGIC_VECTOR (1 downto 0);
Funct : in STD_LOGIC_VECTOR (5 downto 0);
Control_Lines : in STD_LOGIC_VECTOR (3 downto 0));
end Alu_Control;

architecture Behavioral of Alu_Control is

begin
Control_Lines <="0000" when (AluOp="10" and Funct="100100") else
 "0001" when (AluOp="10" and Funct="100101") else ---- this is the line that cause an error
 "0110" when (AluOp="01" or (AluOp="10" and Funct="100010")) else
 "0010" when (AluOp="00" or (AluOp="10" and Funct="100000")) else
 "0111" when (AluOp="10" and Funct="101010") else
"ZZZZ";
end Behavioral;
 

Ask a Question

Want to reply to this thread or ask your own question?

You'll need to choose a username for the site, which only take a couple of moments. After that, you can post your question and our members will help you out.

Ask a Question

Members online

No members online now.

Forum statistics

Threads
473,768
Messages
2,569,574
Members
45,051
Latest member
CarleyMcCr

Latest Threads

Top