Unsupported Feature Error: non-locally-static attributes names are not supported

J

jesse_j

I'm getting the following error:
Unsupported Feature Error: non-locally-static attributes names are not
supported

I'm using the Max Plus II student software version 10.2
and trying to compile the following code:

library IEEE;
use IEEE.STD_LOGIC_1164.all;
use IEEE.STD_LOGIC_ARITH.all;
use IEEE.STD_LOGIC_UNSIGNED.all;

ENTITY priority1 IS
PORT
( clock_10hz,clock_10khz,clock_100khz,command_1,command_2,
command_3,command_4,command_5,command_6,sout :IN STD_LOGIC;
active1 :OUT STD_LOGIC);
END priority1;

ARCHITECTURE a OF priority1 IS
SIGNAL set_active :STD_LOGIC;


BEGIN
PROCESS
BEGIN
IF clock_100khz'EVENT and clock_100khz='1' THEN
IF command_1='1' THEN
set_active<='0';
END IF;

IF command_2='1' THEN
set_active<='0';
END IF;
IF command_3='1' THEN
set_active<='0';
END IF;
IF command_4='1' THEN
set_active<='0';
END IF;
IF command_5='1' THEN
set_active<='0';
END IF;
IF command_6='1' THEN
set_active<='0';
END IF;
END IF;
IF clock_10khz'EVENT and clock_10khz='1' THEN
IF sout='1' THEN
set_active<='1';
END IF;
END IF;
END PROCESS;

PROCESS(set_active,clock_10Hz)
BEGIN
IF set_active='0' THEN active1<='0';
ELSIF clock_10Hz'EVENT and clock_10Hz='1' THEN
active1<='1';
END IF;
END PROCESS;


END a;


Any help would be appreciated.

Thanks
 
P

Paul Uiterlinden

jesse_j said:
I'm getting the following error:
Unsupported Feature Error: non-locally-static attributes names are not
supported

I'm using the Max Plus II student software version 10.2
and trying to compile the following code:

My guess: you're using 'event on two different signals (clock_100khz and
clock_10khz) in one process. That is not synthesizable.

Paul.
 

Ask a Question

Want to reply to this thread or ask your own question?

You'll need to choose a username for the site, which only take a couple of moments. After that, you can post your question and our members will help you out.

Ask a Question

Members online

Forum statistics

Threads
473,744
Messages
2,569,483
Members
44,902
Latest member
Elena68X5

Latest Threads

Top