unused signal

T

titi

When I use a component, is it recommended to connect each signal?
Is it possible to use the same component, without creating the "unused"
signal?

for example:

entity my_component is
port(some_input : in std_logic;
some_output : out std_logic_vector(3 downto 0));
end my_component;

....

signal unused : std_logic_vector(1 downto 0);
signal data : std_logic_vector(1 downto 0);
signal i : std_logic;

....

my_component : my_component
port map (
some_input => i,
some_output(0)=>data(0),
some_output(1)=>data(1),
some_output(2)=>unused(0),
some_output(3)=>unused(1)
);
 
T

titi

titi a écrit :
When I use a component, is it recommended to connect each signal?
Is it possible to use the same component, without creating the "unused"
signal?

for example:

entity my_component is
port(some_input : in std_logic;
some_output : out std_logic_vector(3 downto 0));
end my_component;

...

signal unused : std_logic_vector(1 downto 0);
signal data : std_logic_vector(1 downto 0);
signal i : std_logic;

...

my_component : my_component
port map (
some_input => i,
some_output(0)=>data(0),
some_output(1)=>data(1),
some_output(2)=>unused(0),
some_output(3)=>unused(1)
);

It looks like it is possible to use the open keyword.

http://www.xilinx.com/xlnx/xil_ans_...BV_EngineID=ccchaddkfdmfdhgcefeceihdffhdfjf.0

For exemple:

my_component : my_component
port map (
some_input => i,
some_output(0)=>data(0),
some_output(1)=>data(1),
some_output(2)=>open,
some_output(3)=>open
);
 
A

Alan Peter Fitch

titi said:
titi a écrit :
It looks like it is possible to use the open keyword.

http://www.xilinx.com/xlnx/xil_ans_...BV_EngineID=ccchaddkfdmfdhgcefeceihdffhdfjf.0


For exemple:

my_component : my_component
port map (
some_input => i,
some_output(0)=>data(0),
some_output(1)=>data(1),
some_output(2)=>open,
some_output(3)=>open
);

Hi,
in VHDL 87 what you describe will work. In VHDL 93 onwards, it is not
allowed - either the whole vector must be open (disconnected) or all
elements must be connected,
regards
Alan

Doulos
 

Ask a Question

Want to reply to this thread or ask your own question?

You'll need to choose a username for the site, which only take a couple of moments. After that, you can post your question and our members will help you out.

Ask a Question

Members online

Forum statistics

Threads
473,755
Messages
2,569,536
Members
45,012
Latest member
RoxanneDzm

Latest Threads

Top