Use of rem in VHDL

Joined
Jul 5, 2007
Messages
2
Reaction score
0
Hello!

I want to use the remainder operator in VHDL. My code is as follows:

if (iRxdCount - x"054") rem x"004" = x"000" then
dataenable <= '1';
end if;

But there is a syntax error which says:

"rem can not have such operands in this context."

iRxdCount is a std_logic_vector.
I dont know whats wrong with this code. I would be thankfull if anyone can help me. Thank you
 

Ask a Question

Want to reply to this thread or ask your own question?

You'll need to choose a username for the site, which only take a couple of moments. After that, you can post your question and our members will help you out.

Ask a Question

Members online

No members online now.

Forum statistics

Threads
473,767
Messages
2,569,570
Members
45,045
Latest member
DRCM

Latest Threads

Top