Verification of BuildGates Synthesis

C

Crimson_M

Hello.

I have synthesized my design in VHDL using Cadence's BuildGates
Extreme and now I need to verify the functionality.

Is verification built into BuildGates? I cannot seem to find any
documentation on this. On the product info for BuildGates they show
'Test Synthesis' as part of the BuildGates design flow...
http://www.cadence.com/products/buildgates.html

If it is not possible, then obviously I need another tool. Any ideas?
Will my synthesized netlist be indepedent of the tool I perform the
verification on?

Thanks.
-Brandon
 
R

Renaud Pacalet

Crimson_M a écrit :
Hello.

I have synthesized my design in VHDL using Cadence's BuildGates
Extreme and now I need to verify the functionality.

What do you want to verify, functionnality, speed, power consumption? Depending
on your needs you'll use different tools...

Regards,
--
Renaud Pacalet, GET/ENST/COMELEC/LabSoC
Institut Eurecom BP 193, 2229 route des Cretes
F-06904 Sophia-Antipolis Cedex
Tel : +33 (0) 4 9300 2770
Fax : +33 (0) 4 9300 2627
Fight Spam! Join EuroCAUCE: http://www.euro.cauce.org/
 
J

Jim Lewis

It is common to write out a VHDL netlist and
simulate it in your RTL simulation tools with the
same testbench you used for RTL verification.

Cheers,
Jim
--
~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
Jim Lewis
Director of Training mailto:[email protected]
SynthWorks Design Inc. http://www.SynthWorks.com
1-503-590-4787

Expert VHDL Training for Hardware Design and Verification
~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
 
M

Mike Treseler

Crimson_M said:
I have synthesized my design in VHDL using Cadence's BuildGates
Extreme and now I need to verify the functionality.

Is verification built into BuildGates?

I expect that simulation is separate program.
It is common practice to write a testbench
and sim your code before attempting synthesis.

-- Mike Treseler
 
E

Eyck Jentzsch

Crimson_M said:
Hello.

I have synthesized my design in VHDL using Cadence's BuildGates
Extreme and now I need to verify the functionality.

Is verification built into BuildGates? I cannot seem to find any
documentation on this. On the product info for BuildGates they show
'Test Synthesis' as part of the BuildGates design flow...
http://www.cadence.com/products/buildgates.html

If it is not possible, then obviously I need another tool. Any ideas?
Will my synthesized netlist be indepedent of the tool I perform the
verification on?

Thanks.
-Brandon
Running a so called gatelevel simulation is a common but time consuming
way. For doing this you need a testbench and a simulator. The other way
is using formal verification tools: a static timing analysis tool and an
equivalence checker. But these only verify, that the synthesis step ran
ok, they do not check the functionality of you design.

-Eyck
 
C

Crimson_M

Eyck Jentzsch said:
Running a so called gatelevel simulation is a common but time consuming
way. For doing this you need a testbench and a simulator. The other way
is using formal verification tools: a static timing analysis tool and an
equivalence checker. But these only verify, that the synthesis step ran
ok, they do not check the functionality of you design.

-Eyck

I have done a hdl level simulation using ModelSim. It all worked
before I even synthesized the design in BuildGates. It has met all my
desired timing, power, and area constraints. It produces a vhdl
netlist like this:

---------snippet-------------------
library ieee;
use ieee.std_logic_1164.all;

entity AWDP_ADD_0 is
port (
add8b_1_stemp: out std_logic_vector(8 downto 0);
R: in std_logic_vector(8 downto 0);
L: in std_logic_vector(8 downto 0)
);
end entity AWDP_ADD_0;

architecture netlist of AWDP_ADD_0 is
signal n_321: std_logic;
signal n_280: std_logic;
signal n_563: std_logic;
signal n_520: std_logic;
signal n_224: std_logic;
signal n_223: std_logic;
signal n_67: std_logic;
signal n_65: std_logic;
signal n_115: std_logic;
signal n_112: std_logic;
signal n_111: std_logic;
signal n_121: std_logic;
signal n_120: std_logic;
signal n_122: std_logic;
signal n_108: std_logic;
signal n_107: std_logic;
signal n_118: std_logic;
signal n_117: std_logic;
signal n_104: std_logic;
signal n_116: std_logic;
signal n_101: std_logic;
component BUFX3 is
port (
A: in std_logic;
Y: out std_logic);
end component BUFX3;
component BUFXL is
port (
A: in std_logic;
Y: out std_logic);
end component BUFXL;
component DLY1X1 is
port (
A: in std_logic;
Y: out std_logic);
end component DLY1X1;
....
....
---------snippet-------------------

There are tons of entities and architectures that it created from my
one top level entity. Custom adders, multipliers, etc.

Ok, maybe I am confused on the symantics of hdl design. What is
verification exactly? Does that mean you are testing the result of
synthesis for functionality? Or, does it simply mean the design does
not violate any DRCs, timing, etc.

Static timing analysis is done in BuildGates, I believe. I get a
detailed report of all the nets and whether or not the arrival times
meet required times. The time difference is reported as 'slack'.

A gate level simulation would check for functionality correct? Our
Cadence does have a VHDL toolbox and it is possible to import a
netlist into a schematic and treat it as a symbol. However, I'm
assuming we would need NC-VHDL installed... Unfortunately I believe we
only have NC-Verilog and Verilog XL :/ I wish I could use one damn
design tool top to bottom so I wouldn't have to be skipping around
like this! GAH

From a research perspective, do you feel it is even necessary to
functionally verify a design? I have plenty of data from synthesis,
including power, critical delay, and area. It would just be nice to
verify that the synthesized design does indeed work as my
pre-synthesis simulation did.
 

Ask a Question

Want to reply to this thread or ask your own question?

You'll need to choose a username for the site, which only take a couple of moments. After that, you can post your question and our members will help you out.

Ask a Question

Members online

No members online now.

Forum statistics

Threads
473,764
Messages
2,569,567
Members
45,041
Latest member
RomeoFarnh

Latest Threads

Top