VGA Controller

  • Thread starter Sander Odekerken
  • Start date
S

Sander Odekerken

Hi everybody,

I'm a student and for a project at school we have to make a VGA controller.
Does anyone have a good customizable example or does anyone know where to
download one? What do I have to do if I want to make one of my own.

The input datawidth = 16 bit & the target device is a Xilinx Spartan IIE
FPGA.

Thanks in advance,

Sander Odekerken
 
J

Jim Lewis

Sander,
Homework is for you. But to get you started,
here is how I approach design:

Start at the interface and study the timing and
sequencing of data. From there it will suggest
what hardware you need. Draw the block diagram
for the data path. Draw a bubble diagram to
control the data path with. Code the data
path. Fix the bubble diagram for anything new
you learned when coding the data path.
Code the statemachine. Simulate the design
and debug it. Synthesize it. Test it.
Project done. Smile.

There are VGA controllers in several books.
Also do a websearch on VGA.

Cheers,
Jim


Sander said:
Hi everybody,

I'm a student and for a project at school we have to make a VGA controller.
Does anyone have a good customizable example or does anyone know where to
download one? What do I have to do if I want to make one of my own.

The input datawidth = 16 bit & the target device is a Xilinx Spartan IIE
FPGA.

Thanks in advance,

Sander Odekerken

--
~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
Jim Lewis
Director of Training mailto:[email protected]
SynthWorks Design Inc. http://www.SynthWorks.com
1-503-590-4787

Expert VHDL Training for Hardware Design and Verification
~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
 
R

Randy Thelen

Sander Odekerken said:
I'm a student and for a project at school we have to make a VGA controller.
Does anyone have a good customizable example or does anyone know where to
download one? What do I have to do if I want to make one of my own.

The input datawidth = 16 bit & the target device is a Xilinx Spartan IIE
FPGA.

My dad and I built one, and I hope that it will help you, but it's not
perfect. Take a look at:

http://www.madscientistroom.org/fpga/vga/vga.html

Unfortunately, the VGA that we built is in Verilog, not VHDL. But,
you can probably make the leap. Also, ours uses a text display, not a
bit map one. We use the Xilinx BRAM for the video display. We didn't
build a color version, it's only monochromatic. Last, it has [at
least] one bug ;-)

On the good side, it's very well documented (I think). It has
pointers to where we got our information, so you can learn for
yourself. It does work. It is intended to be bolted onto a CPU bus
(ours is incorporated in a 6809 design, see John Kent's web site for
more on that:

http://members.optushome.com.au/jekent/system09/index.html

In fact, he just added a basic VGA to his system, too. You would do
well to look at both and take the pieces that are most useful to you.

Good luck!

-- Randy
 

Ask a Question

Want to reply to this thread or ask your own question?

You'll need to choose a username for the site, which only take a couple of moments. After that, you can post your question and our members will help you out.

Ask a Question

Members online

Forum statistics

Threads
473,755
Messages
2,569,536
Members
45,015
Latest member
AmbrosePal

Latest Threads

Top