VHDL 7 segment diaplay using ram & Rom function

Joined
May 11, 2006
Messages
1
Reaction score
0
Anyone knows how this gonna work?

Cos I have done up a program, but doesn't seems to work. The program is suppose to get info from the user from the switches 0-7 and by writting in into the ram with the means of the PB2. Then PB1 is suppose to activate the alu and will do be various arithmetic function base on the code input from SW4-7. Then output is to be show on the 7 seg display. the first 7 segment is used to show the address will the 2nd shows the data. It is connected like this:

4 bit address from the sw0-3 is sent to the address of the ram,
4 bit data from the sw4-7 is sent to the data of the ram,
1 bit from the debouncer connected to the chip select on the ram (to send a slower clock signal, cos the altera chip had an internal clock of 25Mhz to activate the cs)
1 bit from the tff connected to the R/W (to activate the ram/rom function).
4 bits data output from the ram goes to a mux
4 bit alu output goes to the same mux, select comes from the (r/w enable of the ram)
after which the o/p goes thru a d-ff then to a 7 seg decoder the another mux before showing up on the LED.

the alu would activated 2 selected pin. During a normal operation (to display the data either from the alu or ram), select "0" is activate. under abnormal condition, select "1" is activated (shd display "n""o" ) on the 2 7 segments. (cos the alu will only use 4 sets of input - four functions. eg. AND, OR, ADD, XOR), the rest of the input shd display "n""o") , this selected data will be send to the mux after the 7 segment decoder. which in this case either accept the hardcode input ("0010101") or the data send to the d-ff under normal conditions.

Please advise if any mistake is made, cos I cannot get any output from the display.

Thanks
Jean
 

Ask a Question

Want to reply to this thread or ask your own question?

You'll need to choose a username for the site, which only take a couple of moments. After that, you can post your question and our members will help you out.

Ask a Question

Similar Threads


Members online

Forum statistics

Threads
473,744
Messages
2,569,483
Members
44,901
Latest member
Noble71S45

Latest Threads

Top