VHDL and Spartan 3E

A

Amit

Hello group,

Does anybody know any resource that I can use for Spartan 3E I
recently have bought? I need to use some basic codes and run them
using the board.
I've planned to use VHDL.

Thanks,
Amit
 
D

Dave P

Hello group,

Does anybody know any resource that I can use for Spartan 3E I
recently have bought? I need to use some basic codes and run them
using the board.
I've planned to use VHDL.

Thanks,
Amit

I'm not sure what you mean by "resource", but the Xilinx/Digilent
Spartan-3E development board comes with lots of hardware goodies, lots of
documentation, and ISE (Xilinx's VHDL/Verilog synthesizer). There are lots
of VHDL books available. "FPGA Prototyping by VHDL Examples" (by Pong Chu)
is a good starter book.
-Dave Pollum
 
A

Amit

I'm not sure what you mean by "resource", but the Xilinx/Digilent
Spartan-3E development board comes with lots of hardware goodies, lots of
documentation, and ISE (Xilinx's VHDL/Verilog synthesizer).  There are lots
of VHDL books available.  "FPGA Prototyping by VHDL Examples" (by Pong Chu)
is a good starter book.
-Dave Pollum


Thanks! no I just have received a box with no single paper or any
documentation but yes the book you pointed out is the one I found on
amazon.

Regards.
 
S

steve

Hello group,

Does anybody know any resource that I can use for Spartan 3E I
recently have bought? I need to use some basic codes and run them
using the board.
I've planned to use VHDL.

Thanks,
Amit

Google is your friend
 

Ask a Question

Want to reply to this thread or ask your own question?

You'll need to choose a username for the site, which only take a couple of moments. After that, you can post your question and our members will help you out.

Ask a Question

Members online

Forum statistics

Threads
473,756
Messages
2,569,535
Members
45,007
Latest member
OrderFitnessKetoCapsules

Latest Threads

Top