VHDL assign multiple concatenated signals

Joined
Mar 7, 2007
Messages
5
Reaction score
0
Hello,

Is there a way to assign to multiple concatenated signals without having to rip the bits and assign each signal individually? For example:

byte0 : std_logic_vector(7 downto 0);
byte1.....

data_vector_32_bit : std_logic_vector(31 downto 0);


Is it possible to assign:

byte0 & byte1 & byte2 & byte3 <= data_vector_32_bit;


The compiler didnt accept this kind of assignment but is there a technique to do it some other simple way (oneliner)?
 

Ask a Question

Want to reply to this thread or ask your own question?

You'll need to choose a username for the site, which only take a couple of moments. After that, you can post your question and our members will help you out.

Ask a Question

Members online

No members online now.

Forum statistics

Threads
473,755
Messages
2,569,536
Members
45,013
Latest member
KatriceSwa

Latest Threads

Top