vhdl code for AES

Joined
Dec 22, 2009
Messages
1
Reaction score
0
hi! i do my project based on aes algorithm. if anybody know the vhdl code for aes means plz sent to my email id. my email id is (e-mail address removed)
 
Joined
Oct 2, 2009
Messages
19
Reaction score
0
Code:
work <= work_reg;

if a = '1' then
   if e = '1' then
      if s = '1' then
         work_reg <= try_it_out_1st;
      end if;
   end if;
end if;

Or you can always try a web search on your favourite search engine. You can try search strings like "aes vhdl". A few scrolling brings you to a wealth of example codes
 
Last edited:

Ask a Question

Want to reply to this thread or ask your own question?

You'll need to choose a username for the site, which only take a couple of moments. After that, you can post your question and our members will help you out.

Ask a Question

Members online

Forum statistics

Threads
473,755
Messages
2,569,534
Members
45,007
Latest member
obedient dusk

Latest Threads

Top