VHDL compiler/simulator for PC

M

Marc Horemans

Hi,
does anyone know a low cost (or free) VHDL compiler and simulator for PC?
Any websites where I can download/buy it from?
A light version is good enough.

Marc
 
A

antonio bergnoli

I use ghdl under linux dayly.It is free and well documented. Don't
forget to use Emacs as editor.

Marc Horemans ha scritto:
 
O

Olaf Petzold

Marc said:
Hi,
does anyone know a low cost (or free) VHDL compiler and simulator for PC?
Any websites where I can download/buy it from?
A light version is good enough.

I'm using Xilinx WebISE/xst und Modelsim/XE Xilinx Edition (Compiler &
Simulator). Even in Modelsim you can build your entities and simulate
(as I do). Modelsim/XE is appropriate for xilinx cpld/fpga only; to get
a taste of this it's enough. Note, you can use Altera Quartus and
Modelsim/AE Altera Edition which is the complement to Xilinx (only more
stuff to register, all 60 days again?). Both are free and a light
version (xst coregen is heavy limited - you got no hints about missing
licenses mostly even if it seems so work - after 4 hour you hit the
break key ;-).

On my experience I suggest to use XEmacs/win32 and not the WebISE
Editor. The vhdl-mode works quite well - even compile on the w32 native
version. The cygwin compilation does have problems on calling Modelsim's
Compiler vcom.exe due to the paths translations of cygwin. I posted some
comments and suggestions about this, but I've got answer yet.

Regards,
Olaf
 
W

wpiman

The cygwin compilation does have problems on calling Modelsim's
Compiler vcom.exe due to the paths translations of cygwin. I posted some
comments and suggestions about this, but I've got answer yet.

This is what I use. (cygpath -d returns a dos access name)

vcom `cygpath -d ../src/file.vhd`

It is a pain cause I need one compile script for cygwin and another for
the PC bat files. If you don't have this requirement- then all the
better.
 

Ask a Question

Want to reply to this thread or ask your own question?

You'll need to choose a username for the site, which only take a couple of moments. After that, you can post your question and our members will help you out.

Ask a Question

Similar Threads


Members online

No members online now.

Forum statistics

Threads
473,763
Messages
2,569,563
Members
45,039
Latest member
CasimiraVa

Latest Threads

Top