VHDL documentation tool

J

john

hullo,
I'm looking for a tool to automatically build a documentation parsing VHDL
files.
I'm currently using Doxygen, but the VHDL support is rather poor, and it
doesn't build a gerarchy diagram.
Any suggestion?

rix
 
P

Paul Floyd

hullo,
I'm looking for a tool to automatically build a documentation parsing VHDL
files.
I'm currently using Doxygen, but the VHDL support is rather poor, and it
doesn't build a gerarchy diagram.
Any suggestion?

Doxygen?

A bientot
Paul Floyd
 
P

Paul Floyd

hullo,
I'm looking for a tool to automatically build a documentation parsing VHDL
files.
I'm currently using Doxygen, but the VHDL support is rather poor, and it
doesn't build a gerarchy diagram.
Any suggestion?

I've only used Doxygen with C++ and C, and found its various diagrams
quite impressive. You need dot to get the most out of it.

What do you mean by gerarchy? Hierarchy?

A bientot
Paul
 
M

Martin Thompson

hullo,
I'm looking for a tool to automatically build a documentation parsing VHDL
files.
I'm currently using Doxygen, but the VHDL support is rather poor, and it
doesn't build a gerarchy diagram.
Any suggestion?

I've used Natural Docs with VHDL - with the following in Languages.txt:

Language: Vhdl

Extensions: vhd vho vhm
Line Comment: --
Block Comments:
Package Separator: .
Enum Values: Global
Function Prototype Enders: ; is
Variable Prototype Enders: ; :=


Language: UCF

Extension: ucf
Line Comment: #
Block Comments:

It doesn't do a bad job IMHO, although it's not perfect, as it is
"template matching" rather than truely understanding the VHDL. It
also doesn't generate a hierarchy diagram.

Cheers,
Martin
 
B

Benjamin Couillard

There's VHDLDOC lying around on the internet that generates some kind
of hierarchy diagram. However, there some bugs in it and you might
need to modify the perl script in order to make it work.
 
B

Benjamin Couillard

There's VHDLDOC lying around on the internet that generates some kind
of hierarchy diagram. However, there some bugs in it and you might
need to modify the perl script in order to make it work.

I also agree that Doxygen isn't really suited for VHDL. It seems like
someone patched doxygen for VHDL.
 
P

Paul Floyd

I also agree that Doxygen isn't really suited for VHDL. It seems like
someone patched doxygen for VHDL.

And what would you you say is lacking?

A bientot
Paul
 

Ask a Question

Want to reply to this thread or ask your own question?

You'll need to choose a username for the site, which only take a couple of moments. After that, you can post your question and our members will help you out.

Ask a Question

Members online

Forum statistics

Threads
473,755
Messages
2,569,536
Members
45,020
Latest member
GenesisGai

Latest Threads

Top