vhdl for beginners

K

KJ

I started using fixed_pkg and wrote some simple programs also.But when
I tried to synthesis the code it came "Library ieee_proposed cannot be
found."
I successfully simulated the same design.
Is Library ieee_proposed not synthesisable????????
pls help...- Hide quoted text -

Yes the library is synthesizable. You need to tell ISE to compile the
file with the fixed point package into the 'ieee_proposed'
library...the default library is 'work'.

KJ
 
V

vipin lal

Yes the library is synthesizable.  You need to tell ISE to compile the
file with the fixed point package into the 'ieee_proposed'
library...the default library is 'work'.

KJ

Hi KJ,
I used the following statements:

library ieee_proposed;
use ieee_proposed.fixed_pkg.all;

this is enough right??anything extra I have to add??
and the code actually worked,in simulation.
 
R

Rajmathi

Do the following to inform ISE to compile the file into ieee_proposed
library:

1. In Xilinx ISE go to the library tab and create a new VHDL Library
and name it as ieee_proposed.

2. Once the library has been created, under the files tab, select the
files which you want to be compiled under the ieee_proposed library,
right click and select the source properties option. In this dialog
box change the "source library" to ieee_proposed".

Now when you synthesize your design all files would get compiled into
appropriate libraries they are associated with.
 

Ask a Question

Want to reply to this thread or ask your own question?

You'll need to choose a username for the site, which only take a couple of moments. After that, you can post your question and our members will help you out.

Ask a Question

Members online

Forum statistics

Threads
473,767
Messages
2,569,571
Members
45,045
Latest member
DRCM

Latest Threads

Top