VHDL Subscripts

  • Thread starter Cameron, Charles B.
  • Start date
C

Cameron, Charles B.

I was surprised to discover that Altera's MaxPlus II interpreted the
signal name z1 as z(1), something I only guessed after extensive head
scratching. I had declared both z and z1 to be of type
standard_logic_vector. Once I renamed the signal z1 as zint, my problem
went away. However, in pursuing the cause of my confusion I have found
no reference that states an equivalence between z1 and z(1). Can
anybody steer me to a reference that addresses this point?

Charles B. Cameron
 
N

Nicolas Matringe

Cameron, Charles B. a écrit:
I was surprised to discover that Altera's MaxPlus II interpreted the
signal name z1 as z(1), something I only guessed after extensive head
scratching. I had declared both z and z1 to be of type
standard_logic_vector. Once I renamed the signal z1 as zint, my problem
went away. However, in pursuing the cause of my confusion I have found
no reference that states an equivalence between z1 and z(1). Can
anybody steer me to a reference that addresses this point?

This is a MaxPlus+II problem, not a VHDL problem (you would probably
encounter it with Verilog too). I suggest to change from MaxPlus+II (now
becoming obsolete) to QuartusII.
 
C

Cameron, Charles B.

Nicolas said:
Cameron, Charles B. a écrit:



This is a MaxPlus+II problem, not a VHDL problem (you would probably
encounter it with Verilog too). I suggest to change from MaxPlus+II
(now becoming obsolete) to QuartusII.


Many thanks for telling me this. I suspected it, but I couldn't verify
it independently. At my university we use the Altera UP-1 and UP-2
boards with student licenses, which effectively do not expire. I
haven't figured out a way to use Quartus II in the same manner. If
anyone knows how to handle this, too, then we could stop using
MaxPlus+II immediately. I much prefer the Quartus II interface and I've
found that it does a better job at finding fast netlists than MaxPlus+II
does.

Charles B. Cameron
 

Ask a Question

Want to reply to this thread or ask your own question?

You'll need to choose a username for the site, which only take a couple of moments. After that, you can post your question and our members will help you out.

Ask a Question

Members online

No members online now.

Forum statistics

Threads
473,756
Messages
2,569,534
Members
45,007
Latest member
OrderFitnessKetoCapsules

Latest Threads

Top