VHDL test bench stimuli; reading from a file with control

Joined
Sep 20, 2007
Messages
1
Reaction score
0
Hello everyone ..

I am trying to simulate a vhdl component which needs to read some data but it should pause reading when a “ready for data” (RFD) output signal coming from the component is low and recommences reading when that signal is high. Can I achieve this when I am reading the data from a file? If so could you please let me know the method? Is there any other way to do this?

Thanks so much

Jan
 
Joined
Mar 7, 2007
Messages
5
Reaction score
0
loop --or some structure where file is read
if RFD = '0' then
wait until RFD = '1';
end if;
readline...
read....

end loop;
 

Ask a Question

Want to reply to this thread or ask your own question?

You'll need to choose a username for the site, which only take a couple of moments. After that, you can post your question and our members will help you out.

Ask a Question

Similar Threads


Staff online

Members online

Forum statistics

Threads
473,766
Messages
2,569,569
Members
45,045
Latest member
DRCM

Latest Threads

Top