Vhdl testbench with textio package

T

Teten

Hello everybody !

I'm design a digital video systems based on MPEG transport Stream
(DVB).
In fact I want to create a model and I must elaborate a DVB generator.

The DVB generator play a file (with hexa values) and I want to inject
these values in a VHDL block.

data_int is STD_LOGIC_VECTOR type

WHILE NOT ENDFILE(fichier) LOOP

readline(fichier, ligne);
hread(ligne,data_int,good); -- Read the B argumen
WHILE good LOOP
WAIT UNTIL rising_edge(CLK_TS); -- pour synchroniser
DATA_TS <= data_int;
hread(ligne,data_int,good);
END LOOP;
END LOOP;

On DATA_TS output I'have the contain of the TS file .

What's happen ?

Is somebody can help me ?

Thanks a lot,
Etienne
 

Ask a Question

Want to reply to this thread or ask your own question?

You'll need to choose a username for the site, which only take a couple of moments. After that, you can post your question and our members will help you out.

Ask a Question

Members online

No members online now.

Forum statistics

Threads
473,755
Messages
2,569,536
Members
45,011
Latest member
AjaUqq1950

Latest Threads

Top