VHDL verilog mixed design, strange problem

A

Akshaye

Hi,

I had this wierd issue with vhdl-verilog co-simulation.

I have a net which is driven in VHDL and is used as an input to 2
verilog designs which are instantiated in this VHDL block.

|-----
|----->|verilog A
| |-----
VHDL ----|
| |-----
|----->|verilog B
|-----

The net is directly used on ports on the verilog modules. Now if i
assign this internal net in "verilog A" module via PLI, a strange
thing happens in the simulator. The net inside verilog A is assigned
this value, the net inside verilog B is assigned this value(wierd?)
but the top level net in VHDL does not get this value!

I have no issue with the VHDL net not getting the assigned
value(because its direction is opposite), but what complicates
debugging is that it is assigned in verilog B.

Is this some optimisation in the simulator which moves this net node
from VHDL to verilog possibly to reduce VHDL to verilog boundary
signals? Can somebody provide an expaination for this? is it simulator
dependent?

Thanks in advance.
 

Ask a Question

Want to reply to this thread or ask your own question?

You'll need to choose a username for the site, which only take a couple of moments. After that, you can post your question and our members will help you out.

Ask a Question

Members online

Forum statistics

Threads
473,744
Messages
2,569,482
Members
44,901
Latest member
Noble71S45

Latest Threads

Top