VHDL ... What wrong with my real number???

Joined
Dec 16, 2007
Messages
2
Reaction score
0
i have just wrote a simply codes in Quartus II to test how the Uniform function... but i met with the problem with the real number... the following is my codes...

process
variable s1 : integer;
variable s2 : integer;
variable x1,x2 : real;
begin
UNIFORM(s1,s2,x1);
UNIFORM(s1,s2,x2);
end process;

error : cannot synthesize non-constant real objects or values ...

anyone know what is the problem :-( ??? thx
 
Last edited:
Joined
Sep 8, 2008
Messages
11
Reaction score
0
Hy,

doesn't matter which kind of synthesis tool you take.
All these tools are not able to synthesis non-static numbers of type real.

If you want to synthesis random patterns like the procedure UNIFORM then have a look at this:

http://en.wikipedia.org/wiki/LFSR

Also have a look at the Megawizard inside Quartus II.

Steff
 
Last edited:

Ask a Question

Want to reply to this thread or ask your own question?

You'll need to choose a username for the site, which only take a couple of moments. After that, you can post your question and our members will help you out.

Ask a Question

Members online

No members online now.

Forum statistics

Threads
473,768
Messages
2,569,574
Members
45,048
Latest member
verona

Latest Threads

Top