viewing variables in modelsim

K

knight

hi is it possible to view variables in modelsim...?

if so how can i do that...?

thanks
knight
 
A

Alain

hi is it possible to view variables in modelsim...?

if so how can i do that...?

thanks
knight

Hi,

Just look at Modelsim Reference Guide, chapter 2, add command :
"Variables may be added if preceded by the process name. For example,
add wave myproc/int1"
 
M

Mike Treseler

knight said:
hi is it possible to view variables in modelsim...?
if so how can i do that...?

add wave * ;# Signals
add wave /mytb/main/* ;# Test variables
add wave /mytb/dut/main/* ;# UUT variables


-- Mike Treseler
 
T

Tricky

hi is it possible to view variables in modelsim...?

if so how can i do that...?

thanks
knight

You can also add variables from a process via the gui by dragging
+droping the process from the "sim" tab into the wave window.
I its a bit of shame they dont let you view the variables in the
"objects" window, like it shows signals. I know other simulators do.
 
K

KJ

I its a bit of shame they dont let you view the variables in the
"objects" window, like it shows signals. I know other simulators do.

Variables show up in the 'Locals' window.

KJ
 

Ask a Question

Want to reply to this thread or ask your own question?

You'll need to choose a username for the site, which only take a couple of moments. After that, you can post your question and our members will help you out.

Ask a Question

Members online

No members online now.

Forum statistics

Threads
473,755
Messages
2,569,536
Members
45,011
Latest member
AjaUqq1950

Latest Threads

Top