Wait on statement

Joined
Oct 26, 2009
Messages
2
Reaction score
0
Hi all,

i would need something like :

Wait on SIG1 OR Wait on SIG2 OR Wait on SIG3;

(my entity is asynch and i need to run the process every time a input sig change).

Thanks for your support,

David.

edit : wait on sig1,sig2,sig3 does that ?
 
Last edited:
Joined
Oct 2, 2009
Messages
19
Reaction score
0
as in the process will not proceed until a signal changes? are we talking about one or three signals?

personally I don't like using wait statements. I use a sensitivity list containing those signals so that whenever any of them changes, the process will kick in.

so you could try
Code:
process(sig1, sig2, sig3) is
--variables or what have you
begin
...

If that's not what you are looking for, perhaps:
Code:
WAIT ON (sig1 OR sig2 OR sig3);

Try them and let us know!
 
Joined
Oct 26, 2009
Messages
2
Reaction score
0
Thanks for the reply!

The second code is exactly the behavior i want .... but it doesn't seems to compile... (i use modelsim)

** Error: *******l.vhd(336): near "(": expecting "STRING" or "IDENTIFIER" or "<<"

i used the same syntax than you( just put my signal name)

(i can't use sensitivity list because i need "wait for" to emulate gate delay)

any idea?
Edit : ok i found

****://***.csee.umbc.edu/help/VHDL/sequential.html

wait on sig1, sig2; -- sensitivity clause, any event on any signal terminates wait

now i am sure ! thanks
 
Last edited:

Ask a Question

Want to reply to this thread or ask your own question?

You'll need to choose a username for the site, which only take a couple of moments. After that, you can post your question and our members will help you out.

Ask a Question

Members online

Forum statistics

Threads
473,755
Messages
2,569,536
Members
45,014
Latest member
BiancaFix3

Latest Threads

Top