Wait statement in vhdl

Joined
Nov 1, 2006
Messages
1
Reaction score
0
I am new to VHDL.
this is the process block I have written in vhdl...

tbclock : process
begin
tb_clock <= '0';
wait for 50 ns;
tb_clock <= '1';
wait for 50 ns;
end process tbclock;

but when compiled it gives me an error.
"wait statement must contain condition clause with until keyword"...:thumbdow:

Can anyone help me in fixing the error?
 
Joined
Oct 19, 2006
Messages
8
Reaction score
0
anuaravind said:
I am new to VHDL.
this is the process block I have written in vhdl...

tbclock : process
begin
tb_clock <= '0';
wait for 50 ns;
tb_clock <= '1';
wait for 50 ns;
end process tbclock;

but when compiled it gives me an error.
"wait statement must contain condition clause with until keyword"...:thumbdow:

Can anyone help me in fixing the error?


Well, it should work when you want to simulate it, but this code can not synthesized since you are using wait for. because some VHDL statements can not be synthesized but they can be used in simulation part "testbench".
hope that would help you
 

Ask a Question

Want to reply to this thread or ask your own question?

You'll need to choose a username for the site, which only take a couple of moments. After that, you can post your question and our members will help you out.

Ask a Question

Members online

No members online now.

Forum statistics

Threads
473,768
Messages
2,569,574
Members
45,050
Latest member
AngelS122

Latest Threads

Top