What you suggest?

A

Amit

Hello group,

I'm going to buy a board so I found few boards on the following
website. I don't know where I'm going but what I can tell you is where
I am. I'm new to VHDL and Embedded Systems field. Currently learning
VHDL and Verilog then I must get familiar with FPGA. I thought
somebody from this group could help me to figure out which board is
good for me. At least it must come handy for 2 - 3 years.



http://www.xess.com/

or

http://www.xilinx.com/products/silicon_solutions/fpgas/spartan_series/index.htm


thank you.
amit
 
M

Mike Treseler

Amit said:
I'm going to buy a board so I found few boards on the following
website. I don't know where I'm going but what I can tell you is where
I am. I'm new to VHDL and Embedded Systems field. Currently learning
VHDL and Verilog then I must get familiar with FPGA. I thought
somebody from this group could help me to figure out which board is
good for me. At least it must come handy for 2 - 3 years.


Learning vhdl has more to do with your simulator
and RTL viewer than the board.

Pick the board with the interfaces you need, but
learn your tools and the description language first.

-- Mike Treseler
 
A

Amit

Learning vhdl has more to do with your simulator
and RTL viewer than the board.

Pick the board with the interfaces you need, but
learn your tools and the description language first.

-- Mike Treseler


Thanks for your advice. So in what point can I make sure I'm ready to
work on boards?

Regards,
Amit
 
M

Mike Treseler

Amit said:
Thanks for your advice. So in what point can I make sure I'm ready to
work on boards?

1. Write a synchronous design entity
that compiles (vcom my_entity.vhd)
and elaborates (vsim -c my_entity)
on your simulator without error.

2. Write a testbench entity to instance
and stimulate the design.

3. Compile, elaborate and run your testbench
in the simulator gui and verify the waveforms.

4. Synthesize your design using ise or quartus and check the RTL viewer.

5. Place and route your design and check static timing.


-- Mike Treseler
 
M

mkr

You can also try virtual lab @ techonline.com to access these boards
online.


- mahenreddy
 

Ask a Question

Want to reply to this thread or ask your own question?

You'll need to choose a username for the site, which only take a couple of moments. After that, you can post your question and our members will help you out.

Ask a Question

Members online

Forum statistics

Threads
473,756
Messages
2,569,540
Members
45,025
Latest member
KetoRushACVFitness

Latest Threads

Top