where to find DCT/IDCT for JPEG/JPEG2000 VHDL/VERILOG source code?

W

walala

Dear all,

I am studying VHDL now... particularly I am interested in multimedia
processing using VHDL or Verilog... Can anybody point me to some resources
on how to implement DCT/IDCT for JPEG/JPEG2000 using VHDL/VERILOG?

Thanks a lot,

-Walala
 

Ask a Question

Want to reply to this thread or ask your own question?

You'll need to choose a username for the site, which only take a couple of moments. After that, you can post your question and our members will help you out.

Ask a Question

Members online

Forum statistics

Threads
473,733
Messages
2,569,440
Members
44,831
Latest member
HealthSmartketoReviews

Latest Threads

Top