whether to_stdlogic type conversion exits???

Joined
Apr 9, 2008
Messages
1
Reaction score
0
whether to_stdlogic type conversion exists???

hi....
I have used to_stdlogic type conversion in my program but an error is occuring... xilinx is not considering to_stdlogic as reserved word and i am getting error as "OR can not have such operands in this context"

my program is


library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;

rx_buff_0_wrtn : OUT std_logic;
rx_buff_1_wrtn : OUT std_logic;
SIGNAL read_count : std_logic_vector(3 DOWNTO 0);


IF ((to_stdlogic(read_count="1010") OR ((NOT rx_buff_1_wrtn) AND (NOT rx_buff_0_wrtn))) = '1') THEN
read_count <= "0000";
 
Last edited:

Ask a Question

Want to reply to this thread or ask your own question?

You'll need to choose a username for the site, which only take a couple of moments. After that, you can post your question and our members will help you out.

Ask a Question

Members online

No members online now.

Forum statistics

Threads
473,767
Messages
2,569,571
Members
45,045
Latest member
DRCM

Latest Threads

Top