Which FSM State?

J

Jake

I have a state machine in my VHDL design. When I synthesize it the Xilinx
ISE refers to one of the states as fsm_state_FFD4. fsm_state is the name of
my current state signal, but which state does FFD4 refer to? How can I find
this out?

TIA
 

Ask a Question

Want to reply to this thread or ask your own question?

You'll need to choose a username for the site, which only take a couple of moments. After that, you can post your question and our members will help you out.

Ask a Question

Similar Threads

case when <subtype> => 5
Design entries for FSM 2
FSM in illegal state 48
debounce state diagram FSM 66
problem in ISE with mealy FSM 3
about xilinx synthesizer. 3
VHDL FSM problem, need help! 1
FSM Problem 5

Members online

No members online now.

Forum statistics

Threads
473,754
Messages
2,569,527
Members
45,000
Latest member
MurrayKeync

Latest Threads

Top