Xilinx BRAM initialization with .coe file

Joined
Apr 15, 2009
Messages
2
Reaction score
0
Hello

I used core generator for generating BRAM, and use .coe file for initializing, but when I do synthesis I have warning : "the data_in (signal that is mapped to DIN (data input port of bram) is used but never assigned. This sourceless signal will be automatically connected to value 0".and ofcourse in simulation I have 0 value for that.
How Can I initialized bram with coe file ?

I used these instructions in my code "
attribute syn_black_box : boolean;
attribute syn_black_box of BSRAM0: component is true;
attribute box_type : string;
attribute box_type of BSRAM0 : component is "black_box";

Thanks
 

Ask a Question

Want to reply to this thread or ask your own question?

You'll need to choose a username for the site, which only take a couple of moments. After that, you can post your question and our members will help you out.

Ask a Question

Members online

Forum statistics

Threads
473,768
Messages
2,569,574
Members
45,049
Latest member
Allen00Reed

Latest Threads

Top