Xilinx GPIO help...

D

Dave

I've got a ML403 Virtex 4 development board with an FX12 part on it. I've
developed several VHDL components that I need to interface to the
MicroBlaze. The VHDL components interface to the outside world through FPGA
pins. I've looked at some Xilinx examples of devices like LEDs and switches
interfacing to the MicroBlaze but these devices are always defined during
the Base System Builder in XPS. I've tried the process of adding a GPIO for
32-bit input as:

1. Add IP for GPIO
2. Attach the GPIO to the OPB
3. Set the port connection IP2INTC_Irpt to opb_gpio_IP2INTC_Irpt
4. Set GPIO_in to opb_gpio_0_in
5. Generate addresses

Do the GPIO pins have to be mapped to FPGA I/O pins? I had to select "Make
External" on each connection or I got errors when trying to build the
project.

How do I attach local component functionality (known only to the top-level
VHDL) to these GPIO pins?

Are there any __simple__ examples of GPIO usage and instantiation with
connections to the top-level architecture?

Any help would be very much appreciated.

Thanks.


Dave
 

Ask a Question

Want to reply to this thread or ask your own question?

You'll need to choose a username for the site, which only take a couple of moments. After that, you can post your question and our members will help you out.

Ask a Question

Members online

Forum statistics

Threads
473,755
Messages
2,569,536
Members
45,009
Latest member
GidgetGamb

Latest Threads

Top