Xilinx schematic to -> VHDL code

Joined
Jun 14, 2011
Messages
1
Reaction score
0
Hey Guys (and unfortunately a few girls :) ) ,does anybody knows if you can create VHDL code from a Schematic at Xilinx ? ( i do not mean VDHL -> schematic)
Thanks .
 

Ask a Question

Want to reply to this thread or ask your own question?

You'll need to choose a username for the site, which only take a couple of moments. After that, you can post your question and our members will help you out.

Ask a Question

Members online

No members online now.

Forum statistics

Threads
473,756
Messages
2,569,535
Members
45,008
Latest member
obedient dusk

Latest Threads

Top