Xilinx Synthesis Problem

Joined
Feb 4, 2009
Messages
2
Reaction score
0
Hi,

I am trying to implement a certain HASH algorithm in VHDL.

The functional simulation is fine, but am facing some problems with Timing Simulation.

I tried to synthesize the code in Xilinx, and I got the following timing report

###################################################
Timing Summary:
---------------
Speed Grade: -4

Minimum period: 15.998ns (Maximum Frequency: 62.506MHz)
Minimum input arrival time before clock: 6.403ns
Maximum output required time after clock: 4.310ns
Maximum combinational path delay: No path found

Timing Detail:
--------------
All values displayed in nanoseconds (ns)

=========================================================================
Timing constraint: Default period analysis for Clock 'clk'
Clock period: 15.998ns (frequency: 62.506MHz)
Total number of paths / destination ports: 13093271 / 3674
-------------------------------------------------------------------------
Delay: 15.998ns (Levels of Logic = 19)
Source: ctr_hadd1_0_1 (FF)
Destination: u_0_7 (FF)
Source Clock: clk rising
Destination Clock: clk rising

###################################################


I have adders, XOR and AND gates in my design.....but it still says it did not find a combinational path.

can this happen when synthesizing VHDL??? Please reply. If not what might be the possible reason for this??? and How can I solve it???

I am a beginner and have a little knowledge abt VHDL.

thanks,
Rav.
 
Joined
Dec 9, 2008
Messages
88
Reaction score
0
I believe that means that you have a clocking violation, and your output signal will arrive after your input signal has latched. You need to delay your input until the next clock cycle so the output will be ready.
 
Joined
Feb 4, 2009
Messages
2
Reaction score
0
I found out that Maximum Combinational Path delay indicates the pad to pad delay iff there is a combinational path from Input to Output pins. Therefore, my design contains no such path which is a requirement......thanks...
 

Ask a Question

Want to reply to this thread or ask your own question?

You'll need to choose a username for the site, which only take a couple of moments. After that, you can post your question and our members will help you out.

Ask a Question

Members online

Forum statistics

Threads
473,769
Messages
2,569,578
Members
45,052
Latest member
LucyCarper

Latest Threads

Top